Publications

Found 4 results
Type [ Year(Desc)]
2016
Fa Wang, Paolo Cachecho, Wangyang Zhang, Shupeng Sun, Xin Li, Rouwaida Kanj, and Chenjie Gu. "Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed-Signal Circuits by Reusing Early-Stage Data." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, no. 8 (2016): 1255-1268.
Changhai Liao, Jun Tao, Xuan Zeng, Yangfeng Su, Dian Zhou, and Xin Li. "Efficient Spatial Variation Modeling of Nanoscale Integrated Circuits Via Hidden Markov Tree." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, no. 6 (2016): 971-984.
Xiaoming Chen, Lin Wang, Boxun Li, Yu Wang, Xin Li, Yongpan Liu, and Huazhong Yang. "Modeling Random Telegraph Noise as a Randomness Source and its Application in True Random Number Generation." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, no. 9 (2016): 1435-1448.
Po-Hsun Wu, Mark Po- Hung Lin, Xin Li, and Tsung-Yi Ho. "Parasitic-Aware Common-Centroid FinFET Placement and Routing for Current-Ratio Matching." ACM Transactions on Design Automation of Electronic Systems 21, no. 3 (2016): 1-22.